Brocer Pecyn Rhwydwaith Mylinking™ (NPB) ML-NPB-6400

48*10GE SFP+ ynghyd â 4*40GE/100GE QSFP28, Uchafswm 880Gbps

Disgrifiad Byr:

Mae Brocer Pecyn Rhwydwaith Mylinking™ o ML-NPB-6400 yn mabwysiadu sglodyn ASIC pwrpasol a datrysiad NPS400.Gall y sglodion ASIC ymroddiad gwrdd â phorthladdoedd 48 * 10GE a 4 * 100GE o ddata cyflymder llinell drosglwyddo a derbyn, hyd at gapasiti prosesu llif 880Gbps ar yr un pryd, i fodloni gofynion defnyddwyr ar gyfer cipio data canolog a rhagbrosesu'r cyswllt rhwydwaith cyfan yn syml .Gall yr NPS400 adeiledig gyrraedd y trwybwn uchaf o 200Gbps i'w ailbrosesu, i fodloni gofynion defnyddwyr ar gyfer prosesu data yn fanwl.


Manylion Cynnyrch

Tagiau Cynnyrch

1- Trosolwg

  • Dyfais Rheoli Gwelededd Rhwydwaith Llawn ar gyfer Cipio Data (porthladdoedd 48 * 1GE / 10GE SFP + a 4 * 40GE / 100GE QSFP28)
  • Dyfais Rheoli Amserlennu Data llawn (Uchafswm 24 * 10GE, porthladdoedd 2 * 100GE deublyg Rx / Tx prosesu dyblygu traffig, agregu ac anfon ymlaen)
  • Dyfais cyn-brosesu ac ail-ddosbarthu llawn (lled band deugyfeiriadol 880Gbps)
  • Cefnogi cipio data cyswllt traffig o wahanol leoliadau elfennau rhwydwaith
  • Cefnogi cipio data cyswllt traffig o wahanol nodau llwybro switsh
  • Pecyn amrwd â chymorth wedi'i gipio, ei nodi, ei ddadansoddi, ei grynhoi'n ystadegol a'i farcio
  • Allbwn pecyn crai wedi'i gefnogi ar gyfer offer monitro Dadansoddi BigData, Dadansoddiad Protocol, Dadansoddiad Signalau, Dadansoddiad Diogelwch, Rheoli Risg a thraffig gofynnol arall.
  • Cefnogir dadansoddiad cipio pecynnau amser real, adnabod ffynhonnell data, a chwiliad traffig rhwydwaith amser real/hanesyddol
ML-NPB-64005

2- Galluoedd Prosesu Traffig Deallus

disgrifiad o'r cynnyrch

ASIC Chip Plus Multicore CPU
Galluoedd prosesu traffig deallus 880Gbps

cynnyrch-disgrifiad1

Caffaeliad 10GE
Porthladdoedd 1GE / 10GE 48, porthladdoedd Max 24 * 10GE prosesu deublyg Rx / Tx, a 40GE / 100GE hyd at 880Gbps Trosglwyddydd Data Traffig ar yr un pryd, ar gyfer Dal Data rhwydwaith, Cyn-brosesu syml

disgrifiad o'r cynnyrch (2)

Dyblygiad Data
Pecyn wedi'i ailadrodd o 1 porthladd i borthladdoedd lluosog N, neu borthladdoedd N lluosog wedi'u hagregu, yna'n cael eu hailadrodd i borthladdoedd M lluosog

disgrifiad o'r cynnyrch (3)

Cydgasglu Data
Pecyn wedi'i ailadrodd o 1 porthladd i borthladdoedd lluosog N, neu borthladdoedd N lluosog wedi'u hagregu, yna'n cael eu hailadrodd i borthladdoedd M lluosog

disgrifiad o'r cynnyrch (4)

Dosbarthu Data / Anfon Ymlaen
Dosbarthu'r metadata sy'n dod i mewn yn gywir a thaflu neu anfon gwahanol wasanaethau data ymlaen i allbynnau rhyngwyneb lluosog yn unol â rheolau rhagddiffiniedig y defnyddiwr.

disgrifiad o'r cynnyrch (5)

Hidlo Data
Cefnogir paru hidlo pecyn L2-L7, megis SMAC, DMAC, SIP, DIP, Chwaraeon, Dport, TTL, SYN, ACK, FIN, maes math Ethernet a gwerth, rhif protocol IP, TOS, ac ati hefyd yn cefnogi cyfuniad hyblyg o hyd i reolau hidlo 2000.

disgrifiad o'r cynnyrch

Balans Llwyth
Algorithm Hash cydbwysedd llwyth â chymorth ac algorithm rhannu pwysau yn seiliedig ar sesiwn yn unol â nodweddion haen L2-L7 i sicrhau bod deinamig traffig allbwn y porthladd o gydbwyso llwyth

disgrifiad o'r cynnyrch (6)

Gêm UDF
Wedi cefnogi paru unrhyw faes allweddol yn y 128 beit cyntaf o becyn.Wedi addasu'r Gwerth Gwrthbwyso a Hyd a Chynnwys Maes Allweddol, a phennu'r polisi allbwn traffig yn unol â chyfluniad y defnyddiwr

disgrifiad o'r cynnyrch (7)
disgrifiad o'r cynnyrch (8)
disgrifiad o'r cynnyrch (9)

Tagiwyd VLAN

VLAN Heb ei dagio

Disodlwyd VLAN

Wedi cefnogi paru unrhyw faes allweddol yn y 128 beit cyntaf o becyn.Gall y defnyddiwr addasu'r gwerth gwrthbwyso a hyd a chynnwys y maes allweddol, a phennu'r polisi allbwn traffig yn unol â chyfluniad y defnyddiwr.

disgrifiad o'r cynnyrch (1)

Canfod Iach Porthladdoedd
Cefnogi canfod amser real o iechyd y broses gwasanaeth o'r offer monitro a dadansoddi pen ôl sy'n gysylltiedig â gwahanol borthladdoedd allbwn.Pan fydd y broses gwasanaeth yn methu, caiff y ddyfais ddiffygiol ei thynnu'n awtomatig.Ar ôl i'r ddyfais ddiffygiol gael ei hadennill, mae'r system yn dychwelyd yn awtomatig i'r grŵp cydbwyso llwyth i sicrhau dibynadwyedd cydbwyso llwyth aml-borthladd.

disgrifiad o'r cynnyrch (2)

Stampio Amser
Wedi'i gefnogi i gydamseru'r gweinydd NTP i gywiro'r amser ac ysgrifennu'r neges i'r pecyn ar ffurf tag amser cymharol gyda marc stamp amser ar ddiwedd y ffrâm, gyda chywirdeb nanoseconds

disgrifiad cynnyrch

VxLAN, VLAN, MPLS Heb ei dagio
Cefnogir y pennawd VxLAN, VLAN, MPLS yn y pecyn data gwreiddiol yn cael ei dynnu ac allbwn.

disgrifiad o'r cynnyrch (3)

Dad-ddyblygu Data
Cefnogir gronynnedd ystadegol ar sail porthladd neu bolisi i gymharu data ffynhonnell casglu lluosog ac ailadrodd yr un pecyn data ar amser penodol.Gall defnyddwyr ddewis gwahanol ddynodwyr pecyn (dst.ip, src.port, dst.port, tcp.seq, tcp.ack)

disgrifiad cynnyrch (1)

Sleisio Data
Cefnogir sleisio seiliedig ar bolisi (64-1518 bytes yn ddewisol) o'r data crai, a gellir gweithredu'r polisi allbwn traffig yn seiliedig ar gyfluniad defnyddwyr

disgrifiad o'r cynnyrch (4)

Data Dosbarthedig Cudd/Cuddio
Ategwyd ronynnedd seiliedig ar bolisi i ddisodli unrhyw faes allweddol yn y data crai er mwyn cyflawni pwrpas gwarchod gwybodaeth sensitif.Yn ôl cyfluniad y defnyddiwr, gellir gweithredu'r polisi allbwn traffig.

disgrifiad o'r cynnyrch (14)

Adnabod Protocol Twnelu
Cefnogir yn awtomatig nodi protocolau twnelu amrywiol megis GTP / GRE / PPTP / L2TP / PPPOE.Yn ôl cyfluniad y defnyddiwr, gellir gweithredu'r strategaeth allbwn traffig yn ôl haen fewnol neu allanol y twnnel

disgrifiad cynnyrch (2)

Cipio Pecyn
Cefnogi cipio pecynnau lefel porthladd, lefel polisi o borthladdoedd ffynhonnell ffisegol o fewn hidlydd maes Pum-Tuple mewn amser real

disgrifiad o'r cynnyrch (3)

Dadansoddiad Pecyn
Cefnogi'r dadansoddiad datagram a ddaliwyd, gan gynnwys dadansoddiad datagram annormal, ailgyfuno nant, dadansoddiad llwybr trosglwyddo, a dadansoddiad llif annormal

disgrifiad o'r cynnyrch (15)

Llwyfan Rheoli Unedig
Wedi cefnogi Mylinking™ Mynediad i'r Llwyfan Rheoli Gwelededd

disgrifiad o'r cynnyrch (16)

1+1 System Bŵer Ddiangen (RPS)
Cefnogir 1 + 1 System Pŵer Diangen Ddeuol

3- Strwythurau Cymhwysiad Nodweddiadol

3.1 Mylinking™ Brocer Pecyn Rhwydwaith Casglu Traffig Canolog, Dyblygu/Cais Cydgrynhoi (fel a ganlyn)

ML-NPB-64001

3.2 Mylinking™ Brocer Pecyn Rhwydwaith Cais Atodlen Unedig ar gyfer Monitro Data (fel a ganlyn)

ML-NPB-64006

Mae Brocer Pecyn Rhwydwaith Mylinking™ yn mabwysiadu sglodyn ASIC pwrpasol a datrysiad NPS400.Gall y sglodion ASIC ymroddiad gwrdd â phorthladdoedd 48 * 10GE a 4 * 100GE o ddata cyflymder llinell drosglwyddo a derbyn, hyd at gapasiti prosesu llif 880Gbps ar yr un pryd, i fodloni gofynion defnyddwyr ar gyfer cipio data canolog a rhagbrosesu'r cyswllt rhwydwaith cyfan yn syml .Gall yr NPS400 adeiledig gyrraedd y trwybwn uchaf o 200Gbps i'w ailbrosesu, i fodloni gofynion defnyddwyr ar gyfer prosesu data yn fanwl.

3.3 Mylinking™ Cymhwysiad Dad-ddyblygu Data Brocer Pecyn Rhwydwaith (fel a ganlyn)

ML-NPB-64002

3.4 Mylinking™ Rhaglen Torri Data Brocer Pecyn Rhwydwaith (fel a ganlyn)

ML-NPB-64006

3.5 Mylinking™ Cais Mynediad Hybrid Brocer Pecyn Rhwydwaith ar gyfer Caffael/Atgynhyrchu/Cydgrynhoi Data (fel a ganlyn)

ML-NPB-64007

4- Manylebau

ML-NPB-6400 Brocer Pecyn Rhwydwaith Mylinking™ Paramedrau Swyddogaethol NPB

Rhyngwyneb Rhwydwaith

porthladdoedd 10GE SFP+

porthladdoedd 100GE QSFP28

slotiau 48 * 10G SFP+ a slotiau 4 * 100G QSFP28;Cefnogi 1GE/10GE/40G/100GE;Cefnogaeth ar gyfer ffibr sengl ac aml-ddull

Allan o ryngwyneb rheoli Band

Rhyngwyneb trydanol 1 * 10/100/1000M

Modd Defnyddio

Dal sbectrol ffibr 1GE/10GE/40GE/100GE

Cefnogir

1GE/10GE/40GE/100GE Dal drych rhychwant

Cefnogir

Swyddogaethau System

Proses Traffig Sylfaenol

Dyblygiad traffig / agregu / dosbarthu

Cefnogir

Hidlo Traffig yn seiliedig ar IP / protocol / porthladd saith adnabod traffig tuple

Cefnogir

Tag VLAN/Amnewid/Dileu

Cefnogir

Annibyniaeth amgáu Ethernet

Cefnogir

Gallu Prosesu Traffig

880Gbps

Proses Traffig Deallus

Stampio Amser

Cefnogir

Stripping Pennawd Pecyn

Cefnogir VxLAN, VLAN, MPLS, GTP, GRE Stripping Pennawd

Dad-ddyblygu Pecyn

Dad-ddyblygu Pecyn â Chymorth yn seiliedig ar borthladdoedd a rheolau

Sleisio Pecyn

Tafellu Pecyn â Chymorth yn seiliedig ar reolau

Adnabod protocol twnnel

Cefnogir

Gallu Prosesu Traffig

200Gbps

Rheolaeth

Rheoli Rhwydwaith CONSOLE

Cefnogir

Rheoli Rhwydwaith IP/WE

Cefnogir

Rheoli Rhwydwaith SNMP

Cefnogir

Rheoli Rhwydwaith TELNET/SSH

Cefnogir

Ardystiad Awdurdodi RADIUS neu AAA

Cefnogir

Protocol SYSLOG

Cefnogir

Swyddogaeth dilysu defnyddiwr

Dilysu cyfrinair yn seiliedig ar enw defnyddiwr

Trydan(1+1 System Bŵer Ddiangen-RPS)

Foltedd cyflenwad graddedig

AC-220V/DC-48V [Dewisol]

Amledd pŵer graddedig

AC-50HZ

Cyfredol mewnbwn graddedig

AC-3A / DC-10A

Swyddogaeth pŵer graddedig

Uchafswm 370W

Amgylchedd

Tymheredd Gweithredu

0-50℃

Tymheredd Storio

-20-70 ℃

Lleithder Gweithredu

10% -95%, Heb fod yn cyddwyso

Ffurfweddiad Defnyddiwr

Ffurfweddiad Consol

Rhyngwyneb RS232, 115200, 8, N, 1

Dilysu cyfrinair

cefnogaeth

Uchder Rack

Gofod rac (U)

1U 445mm*44mm*402mm


  • Pâr o:
  • Nesaf:

  • Ysgrifennwch eich neges yma a'i hanfon atom