Tap Rhwydwaith Mylinking™ ML-TAP-2610

24 * GE SFP ynghyd â 2 * 10GE SFP +, Uchafswm 44Gbps

Disgrifiad Byr:

Mae gan Mylinking™ Network Tap o ML-TAP-2610 allu prosesu hyd at 44Gbps i hollti optegol neu adlewyrchu mynediad rhychwant.Mae'n cefnogi uchafswm o 2 * 10 slot GIGABit SFP + (sy'n gydnaws ag 1 GIGABit) a slotiau SFP 24 * 1 gigabit, cefnogaeth hyblyg modiwlau optegol sengl / aml-ddull 10G a 1G a modiwlau trydanol 10 gigabit ac 1 gigabit.Wedi'i gefnogi i wireddu pecynnu uchaf amherthnasol o anfon traffig Ethernet ymlaen, a chefnogi pob math o brotocolau pecynnu Ethernet, a phecynnu protocol hefyd 802.1q/q-in-q, IPX/SPX, MPLS, PPPO, ISL, GRE, PPTP ac ati.


Manylion Cynnyrch

Tagiau Cynnyrch

1- Trosolwg

  • Rheolaeth welededd lawn o ddyfais casglu traffig rhwydwaith (2 * 10GE SFP + ynghyd â phorthladdoedd 24 * GE SFP)
  • Dyfais Rheoli Amserlennu Data llawn (prosesu deublyg Rx/Tx)
  • Dyfais cyn-brosesu ac ail-ddosbarthu llawn (lled band deugyfeiriadol 44Gbps)
  • Cefnogi casglu a derbyn data cyswllt o wahanol leoliadau elfennau rhwydwaith
  • Cefnogi casglu a derbyn data cyswllt o wahanol nodau llwybro switsh
  • Pecyn amrwd â chymorth wedi'i gasglu, ei nodi, ei ddadansoddi, ei grynhoi'n ystadegol a'i farcio
  • Yn cefnogi modd LAN / WAN;Yn cefnogi hidlo ac anfon pecynnau yn seiliedig ar y porthladd ffynhonnell, parth protocol safonol pumed, cyfeiriad MAC ffynhonnell / cyrchfan, darn IP, ystod porthladd haen trafnidiaeth, maes math Ethernet, VLANID, label MPLS, a nodwedd gwrthbwyso sefydlog TCPFlag.
  • Cydgasglu traffig porthladdoedd â chymorth, dargyfeirio Hash, cydbwyso llwythi, a hidlo, gan fodloni eich gofynion diogelwch rhwydwaith a defnyddio dyfeisiau dadansoddi traffig yn hyblyg.
  • Cefnogir adnabod protocolau twnelu amrywiol yn awtomatig megis GTP / GRE / PPTP / L2TP / PPPOE.O ran cyfluniad y defnyddiwr, gellir gweithredu'r strategaeth allbwn traffig yn ôl haen fewnol neu haen allanol y twnnel
cynnyrch-disgrifiad1

ML-TAP-2610

2- Diagram Bloc System

cynnyrch-disgrifiad2

Mae Mylinking ™ ML-TAP-2610 Network Tap yn ddyluniad caledwedd pur sglodion ASIC pwrpasol, lled band newid bws backplane cyflym hyd at 44Gbps, yn gallu cyflawni casgliad llif cyflymder llinell gyflawn, cydgyfeirio, hidlo, siyntio, dyblygu a swyddogaethau eraill;Gall modiwl peiriant marc paru polisi caledwedd TCAM gwblhau'r pecyn paru polisi diogelwch a marc dosbarthiad traffig o dan gyflwr llif cyflymder llinell.Gall yr injan llywio traffig weithredu gweithredoedd anfon porthladdoedd am ddim, atgynhyrchu a siyntio traffig wedi'i farcio.

3- Egwyddor Weithredol

cynnyrch-disgrifiad3

- Mae'r rhyngwyneb cyfluniad WEB hawdd ei ddefnyddio yn dileu cyfluniad CLI cymhleth.Ni all defnyddwyr uwch ddefnyddio mwy na phum gorchymyn i ffurfweddu'r atgynhyrchiad traffig cymhleth mewn gwahanol borthladdoedd.

- Monitro statws;Mae statws porthladd ar y WEB UI yn dangos y cyflenwad pŵer, statws system, cyfradd rhyngwyneb, statws rhyngwyneb LINK, a phecynnau data a anfonwyd ac a dderbyniwyd gan y porthladd.

- Yn gwbl gydnaws â system canfod ymyrraeth, dadansoddwr protocol, stiliwr RMON, system archwilio rhwydwaith a chymwysiadau eraill.

4- Galluoedd Prosesu Traffig Deallus

disgrifiad o'r cynnyrch

ASIC Chip Plus TCAM CPU
Galluoedd prosesu traffig deallus 44Gbps

cynnyrch-disgrifiad1

Caffael Traffig 10GE
Porthladdoedd 10GE 2, Max 2 * 10GE ynghyd â phorthladdoedd GE 24 * prosesu deublyg Rx / Tx, hyd at 44Gbps Trosglwyddydd Data Traffig ar yr un pryd, ar gyfer Dal Data rhwydwaith, a Rhag-brosesu syml

disgrifiad o'r cynnyrch (2)

Dyblygiad Data
Pecyn wedi'i ailadrodd o 1 porthladd i borthladdoedd lluosog N, neu borthladdoedd N lluosog wedi'u hagregu, yna'n cael eu hailadrodd i borthladdoedd M lluosog

disgrifiad o'r cynnyrch (3)

Cydgasglu Data
Pecyn wedi'i ailadrodd o 1 porthladd i borthladdoedd lluosog N, neu borthladdoedd N lluosog wedi'u hagregu, yna'n cael eu hailadrodd i borthladdoedd M lluosog

disgrifiad o'r cynnyrch (4)

Dosbarthu Data
Dosbarthu'r data sy'n dod i mewn yn gywir a thaflu neu anfon gwahanol wasanaethau data ymlaen i allbynnau rhyngwyneb lluosog yn unol â rheolau rhagddiffiniedig y defnyddiwr.

disgrifiad o'r cynnyrch (5)

Hidlo Data
Cefnogir paru hidlo pecyn L2-L7 rhwydwaith, megis SMAC, DMAC, SIP, DIP, Chwaraeon, Dport, TTL, SYN, ACK, FIN, maes math Ethernet a gwerth, rhif protocol IP, TOS, ac ati hefyd yn cefnogi cyfuniad hyblyg o hyd at 2000 o reolau hidlo.

disgrifiad o'r cynnyrch

Cydbwyso Llwyth
Algorithm Hash cydbwysedd llwyth â chymorth ac algorithm rhannu pwysau yn seiliedig ar sesiwn yn unol â nodweddion haen L2-L7 i sicrhau bod deinamig traffig allbwn y porthladd o gydbwyso llwyth

disgrifiad o'r cynnyrch (6)

Gêm UDF
Wedi cefnogi paru unrhyw faes allweddol yn y 128 beit cyntaf o becyn.Wedi addasu'r Gwerth Gwrthbwyso a Hyd a Chynnwys Maes Allweddol, a phennu'r polisi allbwn traffig yn unol â chyfluniad y defnyddiwr

disgrifiad o'r cynnyrch (7)

Tagiwyd VLAN

disgrifiad o'r cynnyrch (8)

VLAN Heb ei dagio

disgrifiad o'r cynnyrch (9)

Disodlwyd VLAN

Wedi cefnogi paru unrhyw faes allweddol yn y 128 beit cyntaf o becyn.Gall y defnyddiwr addasu'r gwerth gwrthbwyso a hyd a chynnwys y maes allweddol, a phennu'r polisi allbwn traffig yn unol â chyfluniad y defnyddiwr.

disgrifiad o'r cynnyrch (10)

Amnewid Cyfeiriad MAC
Wedi cefnogi disodli'r cyfeiriad MAC cyrchfan yn y pecyn data gwreiddiol, y gellir ei weithredu yn seiliedig ar ffurfweddiad y defnyddiwr

disgrifiad o'r cynnyrch (11)

Cydnabod/Dosbarthiad Protocol Symudol 3G/4G
Cefnogir i nodi elfennau rhwydwaith symudol megis (Gb, Gn, IuPS, S1-MME, S1-U, X2-U, S3, S4, S5, S6a, S11, ac ati rhyngwyneb).Gallwch chi weithredu polisïau allbwn traffig yn seiliedig ar nodweddion fel GTPV1-C, GTPV1-U, GTPV2-C, SCTP, a S1-AP yn seiliedig ar ffurfweddiadau defnyddwyr.

disgrifiad o'r cynnyrch (12)

Canfod Iach Porthladdoedd
Wedi cefnogi canfod amser real o iechyd proses gwasanaeth yr offer monitro a dadansoddi pen ôl sy'n gysylltiedig â gwahanol borthladdoedd allbwn.Pan fydd y broses gwasanaeth yn methu, caiff y ddyfais ddiffygiol ei thynnu'n awtomatig.Ar ôl i'r ddyfais ddiffygiol gael ei hadennill, mae'r system yn dychwelyd yn awtomatig i'r grŵp cydbwyso llwyth i sicrhau dibynadwyedd cydbwyso llwyth aml-borthladd.

disgrifiad o'r cynnyrch (13)

VLAN, MPLS Heb ei dagio
Cefnogi'r VLAN, stripio penawdau MPLS yn y pecyn data gwreiddiol, ac allbwn.

disgrifiad o'r cynnyrch (14)

Adnabod Protocol Twnelu
Cefnogir yn awtomatig nodi protocolau twnelu amrywiol megis GTP / GRE / PPTP / L2TP / PPPOE.Yn ôl cyfluniad y defnyddiwr, gellir gweithredu'r strategaeth allbwn traffig yn ôl haen fewnol neu allanol y twnnel

disgrifiad o'r cynnyrch (15)

Llwyfan Rheoli Unedig
Wedi cefnogi Mylinking™ Mynediad i'r Llwyfan Rheoli Gwelededd

disgrifiad o'r cynnyrch (16)

1+1 System Bŵer Ddiangen (RPS)
Cefnogir 1 + 1 System Pŵer Diangen Ddeuol

5- Mylinking™ Network Tap Strwythurau Cymhwysiad Nodweddiadol

5.1Tap Rhwydwaith Mylinking™ GE i Gais Cydgasglu Data 10GE (fel a ganlyn)

cynnyrch-disgrifiad4

5.2 Tap Rhwydwaith Mylinking™ 1/10GE Cais Dosbarthu Data (fel a ganlyn)

cynnyrch-disgrifiad5

5.3 Cais Caffael Hybrid Network Tap Mylinking™ (fel a ganlyn)

cynnyrch-disgrifiad6

5.4 Rhaglen Monitro Traffig Customazition Tap Rhwydwaith Mylinking™ (fel a ganlyn)

disgrifiad cynnyrch7

6- Manylebau

Tap Rhwydwaith Mylinking™  NPB/Paramedrau Swyddogaethol TAP

Rhyngwyneb Rhwydwaith

porthladdoedd GE

slotiau SFP 24 * GE

porthladdoedd 10GE

slotiau 2 * 10GE SFP+

Modd lleoli

mewnbwn monitro SPAN

cefnogaeth

Modd mewn-lein

cefnogaeth

  Cyfanswm rhyngwyneb QTYs

26

Dyblygiad traffig / agregu / dosbarthu

cefnogaeth

Cyswllt QTYs yn cefnogi dyblygu Mirror / agregu

1 -> Dyblygiad traffig cyswllt N (N <26)

N-> 1 cydgasglu traffig cyswllt (N <26)

Dyblygiad traffig a chydgasglu traffig G Group(M-> N) [G * (M + N) <26]

Swyddogaethau

Dosbarthiad yn seiliedig ar adnabod traffig

cefnogaeth

Dosbarthiad yn seiliedig ar IP / protocol / porthladd Adnabod traffig pum tuple

cefnogaeth

Strategaeth ddosbarthu yn seiliedig ar bennyn protocol y mae'r traffig wedi'i labelu'n allweddol yn ei nodi

cefnogaeth

Dosbarthiad strategol yn seiliedig ar adnabod cynnwys negeseuon dwfn

cefnogaeth

Cefnogi annibyniaeth amgáu Ethernet

cefnogaeth

Rheoli Rhwydwaith CONSOLE

cefnogaeth

Rheoli Rhwydwaith IP/WE

cefnogaeth

Rheoli Rhwydwaith SNMP V1/V2C

cefnogaeth

Rheoli Rhwydwaith TELNET/SSH

cefnogaeth

Protocol SYSLOG

cefnogaeth

Swyddogaeth dilysu defnyddiwr Dilysu cyfrinair yn seiliedig ar enw defnyddiwr

Trydan(1+1 System Bŵer Ddiangen-RPS)

Foltedd cyflenwad graddedig

AC110-240V/DC-48V [Dewisol]

Amledd pŵer graddedig

AC-50HZ

Cyfredol mewnbwn graddedig

AC-3A / DC-10A

Swyddogaeth pŵer graddedig

150W(2401: 100W)

Amgylchedd

Tymheredd Gweithredu

0-50℃

Tymheredd Storio

-20-70 ℃

Lleithder Gweithredu

10% -95%, Heb fod yn cyddwyso

Ffurfweddiad Defnyddiwr

Ffurfweddiad Consol

Rhyngwyneb RS232, 9600,8,N,1

Dilysu cyfrinair

cefnogaeth

Uchder Rack

Gofod rac (U)

1U 460mm*45mm*440mm

7- Gwybodaeth Archeb

ML-TAP-2401 mylinking ™ Network Tap porthladdoedd 24 * GE SFP
ML-TAP-1410 mylinking™ Network Tap porthladdoedd 12 * GE SFP ynghyd â phorthladdoedd 2 * 10GE SFP+
ML-TAP-2610 mylinking™ Network Tap porthladdoedd 24* GE SFP ynghyd â phorthladdoedd 2 * 10GE SFP+
ML-TAP-2810 mylinking™ Network Tap porthladdoedd 24* GE SFP ynghyd â phorthladdoedd 4*10GE SFP+


  • Pâr o:
  • Nesaf:

  • Ysgrifennwch eich neges yma a'i hanfon atom